HDL(ハードウェア記述言語)

デジタル・システムのシミュレーションに関する翻訳で、HDLという言葉がよく出てくる(例えば、SystemVueとSTKによるレーダ・システムのバーチャル・フライト・テストのp3の図2)。HDLは、Hardware Description Language(ハードウェア記述言語)の略である。

デジタル・システム(デジタル回路)は、ブルーレイ・レコーダなどの家電製品、コンピュータ、通信機器などに幅広く使用されていて、ますます大規模化、複雑化している。小規模なデジタル・システム(デジタルIC)は、論理ゲートレベルの回路図で(AND、NAND、OR、NOR、NOTなどの基本論理演算素子とフリップフロップなどの順序演算素子の組み合わせを頭で考えて手作業で)設計できるが、ゲート数が100万個を超える大規模なシステムLSIの設計は困難である。これを克服するために、回路図を書いて考えるのではなく、プログラミング形式でデジタル回路の動作や構造を記述して設計するための言語がHDLである。

プログラミング形式で設計できることから、

アルゴリズム設計(仕様設計)
  ↓
アーキテクチャ設計(機能分割)
  ↓
ビヘイビア・レベル設計(機能ブロックの入出力と内部動作を定義)
  ↓(HDLによる動作合成)
レジスタ転送レベル設計(レジスタ(フリップ・フロップ)と演算で機能を表す)
  ↓(HDLによる論理合成)
ゲート・レベル設計(論理演算素子と順序演算素子の組み合わせ)
  ↓(自動レイアウト・ツールによる配置配線)     
レイアウト設計

のような、トップダウン設計が可能になり、設計効率が大幅に高まる。

広く普及しているHDLとして、米国国防総省の VHSIC(Very High Speed Integrated Circuit)プロジェクトで開発されたVHDLと、Cadence 社の論理シミュレータ Verilog XL 用に開発されたVerilog HDLがあり、それぞれIEEEで標準化されている。

HDLについては、以下を参照

ハードウェア記述言語 -VHDL入門-

三重大学大学院 工学研究科 情報工学専攻 コンピュータサイエンス講座 計算機アーキテクチャ研究室の佐々木助教のページ > 講義/演習関連 > 集積回路工学 > 7.集積回路の設計手法

コメントは受け付けていません。